Lam Research: An Interesting Semiconductor Play

The company is benefitting from digital transformation, but supply chain woes are a concern

Author's Avatar
May 02, 2022
Summary
  • Lam Research is a market leader in the wafer fab equipment domain.
  • Digital transformation resulted in strong demand for Lam’s offerings in 2021.
  • The company’s service segment is expected to be an area of growth in the coming years.
Article's Main Image

The semiconductor industry was hit by supply chain issues throughout 2021, and Lam Research Corp. (LRCX, Financial) is no exception to this. The company had a fairly good 2021 backed by strong performance in many key areas of their business such as NAND, DRAM and Foundry, but supply chain issues prevented them from realizing their full growth potential.

However, Lam Research has a particularly robust market position in the wafer fab equipment domain, and I believe it is poised for growth in 2022 on account of the growing digital transformation trends.

Leadership in wafer fab equipment

When it comes to wafer fab equipment, Lam is the market leader in dry etch and a major player in the industry's deposition segment. Deposition equipment deposits thin-film layers on surfaces, while etching removes material selectively. Along with photolithography, which creates the mask that exposes areas for materials to be deposited or removed, the combination of these two is critical during the chip fabrication process.

Lam offers some of the most advanced tools in these segments. The most recent technological advancements in wafer fab equipment enable chipmakers to follow Moore's Law's path.

The company has intangible assets derived from service contracts and customer collaboration during process development and subsequent high-volume manufacturing. Its customer support business group includes spares, services and upgrades, and its Reliant business helps customers in lagging-edge chip manufacturing refurbish older tools for Internet of Things (IoT) applications that don't require high performance in a PC or phone.

Business strategy

Lam is a well-known manufacturer of semiconductor fabrication equipment. It has a particularly large installed base which has resulted in strong customer stickiness for the company.

Lam has had a close look at the chipmakers' problems, allowing it to implement solutions and add new capabilities in future tools. The company has benefited from a sharp increase in the number of etch, deposition and clean steps required due to major inflections, such as FinFET and planar to 3D NAND, requiring multiple patterning and vertical layers suited to Lam's advanced etch and deposition offerings.

As a result, Lam is expected to grow faster than the overall semiconductor equipment industry, as its technically superior tools will allow it to capture a larger share of the market. The cyclicality of the equipment market is directly affected by the volatile nature of semiconductor demand. In recent years, Lam and its peers have benefited from increased service revenue, which should help mitigate the volatility of equipment orders.

As overcoming Moore's Law becomes more difficult, Lam’s research should focus more on the service segment growth as chipmakers rely more on field service engineers from them.

Recent developments

Demand for specialty devices is rapidly increasing. Lam recently announced that Syndion GP, a new product from its pipeline, is developing next-generation power devices and power management integrated circuits for use in the automotive, electric power delivery and energy industries. Syndion GP provides deep silicon etch competencies to chipmakers to help them meet the rising demand while also encouraging the development of new specialty technology breakthroughs. The platform also provides the versatility needed to meet both precision control and increased productivity for high-volume manufacturing processes, demonstrating the wide range of deep silicon etch solutions needed to meet the challenges of next-generation devices.

As these industries advance, the demand for more power, better performance and higher density at the chip level grows, necessitating greater cross-wafer consistency for higher aspect-ratio structures. It is worth highlighting that Syndion GP is built to support this precision manufacturing process and can be configured to produce devices in 200mm and 300mm wafer sizes, allowing for a smooth transition to higher capacity. Many power devices are currently made on silicon wafers with a diameter of 200mm, but production is shifting to 300mm wafers to meet increased demand.

Lam's Syndion GP solution expands its suite of specialty technology offerings by building on the company's cutting-edge deep silicon etch capabilities. Power devices, micro-electromechanical systems (MEMS), analog and mixed-signal semiconductors, optoelectronic devices, radiofrequency IC (RF) solutions and CMOS image sensors (CIS) are some of the specialty technologies that are used in a wide range of consumer and industrial technologies and applications, including electric vehicles, the internet of things and 5G.

In addition, Lam Research's deep silicon etch portfolio now includes the production-proven 200mm DSiETM platform and the market-leading 300mm Syndion GS for the hybrid memory, packaging and CMOS image sensor markets.

Final thoughts

1521063267609419776.png

As we can see in the chart above, Lam Research's stock has given negative returns in the past year. Its enterprise-value-to-revenue ratio is down to 3.9 and its price-earnings ratio is down to just 14.56, both of which are well below the semiconductor industry average averages. This is one of the main reasons why Lam Research could be considered undervalued by the GuruFocus Value chart.

1521168557902209024.png

Despite its fair share of positive drivers, the company does compete with giants like Applied Materials (AMAT, Financial) and Tokyo Electron (TSE:8035, Financial) and might lose market share if any of them gain a technological advantage. I would advise a cautious approach for investors of Lam Research in the long run.

Disclosures

I/we have no positions in any stocks mentioned, and have no plans to buy any new positions in the stocks mentioned within the next 72 hours. Click for the complete disclosure