Semiconductor Industry Upbeat on EUV

High volume production using extreme ultraviolet lithography is likely but with a slight delay

Author's Avatar
Sep 13, 2017
Article's Main Image

The confidence level in extreme ultraviolet lithography (EUV) is rising, according to a survey conducted by eBeam initiative. Only 1% of survey respondents found it useless for mass production, down from 35% in 2014. Mask yields remain a point of concern though as mask yield is ~64.3%, lowest in the pack.

The survey asked top semiconductor executives to predict the adoption of the company for high volume manufacturing (HVM); 75% of the respondents expect it to be used in high volume manufacturing by the end of 2020. Only 17% expect mass adoption for production by 2018; 50% expect that EUV will be used in high volume manufacturing by 2019.

It is worth mentioning that positive sentiment for adoption by 2018 is down from 26% in last year’s survey to 17% in the current one. Moreover, 48% respondents predicted that it will be 2020 or beyond before adoption at a mass production level. Only 44% of the respondents shared this opinion during the 2016 survey.

Is it the future of semiconductor manufacturing?

The industry is getting more confident about adoption at 7nm and beyond. Most industry experts think it is a plausible solution for semiconductor manufacturing, and there’s no way around it – at least not yet. It can be seen in the survey that overall acceptance has increased; only 1% think it will never be deployed for mass production.

Despite industry optimism, you should note cost economies might turn against the process if multipatterning is required. In a cost comparison, Canon demonstrated that it becomes more expensive than conventional methods if patterning is introduced to the mix, but without double patterning, EUV beats conventional methods on cost.

Then there are mask yield concerns. The survey indicated that mask yields are 64.3% compared to the average yield of 94.8% for all masks. As a result, the weighted average of the expected time for high-volume implementation has been pushed out by 10 months compared to what last year’s respondents predicted, according to eetimes.

This adds to the cost of the EUV process. Note that it requires different masks compared to conventional optical masks for optical immersion technologies. Due to mask complexities, inverse lithography technology (ILT) is also being proposed for masks at 7nm.

“ILT technology actually supplements EUV since the initial hardware is being shipped with a low numerical aperture (NA),” according to a Synopsys’ executive. Moreover, 61% of the responses in the eBeam survey are positive for ILT use in at least one layer of high volume production chip by 2021. ILT also adds to the costs of a setup, but optical lithography is also expected to use ILT at 7nm and beyond.

Overall, the industry’s optimism should be taken with a bit of skepticism. It is certainly gaining wide acceptance, but several challenges including double patterning costs and mask yields remain. It seems like the industry has to adopt EUV because there is no alternative. Design costs are rising astronomically, and it's the only available solution, not exactly an optimal one though.

Is mass adoption imminent?

Opinions differ when it comes to the timing of mass adoption. Imminent adoption on a mass scale isn’t expected, as evident from the survey. More experts are touting adoption in 2020 and beyond. You can clearly see that the mass adoption opinion for 2018 went down from 22% to 16% in the current survey by eBeam.

High volume production using EUV isn’t very likely in 2018. Samsung (SSNLF, Financial) is expected to put it into initial production with its 7nm LLP towards the second half of 2018. GlobalFoundries recently announced its 7nm ambitions with first customer products launching in the first half of 2018. But initial production will be based on optical lithography with the transition following when EUV is ready for volume production. This indicates that Global Foundries doesn’t expect it to be ready for mass production by the first half of 2018. Overall, industry experts certainly expect mass adoption, but 2018 might not be the year for it.

What does it mean for the semiconductor industry?

The impact on all other semiconductor players depends on whether foundries like TSMC (TSM, Financial), Intel (INTC, Financial), Samsung and GlobalFoundries decide to integrate EUV in manufacturing. It's a complex decision for foundry players. EUV isn’t fully ready while costs of optical lithography are rising. That’s why the industry is eyeing a gradual transition. Players are also expected to explore the combination of ILT and conventional immersion technologies.

EDA players like Synopsys (SNPS, Financial) and Cadence (CDNS, Financial) will have to make a decision whether to support its design automation and testing tools. Adoption can reduce mutipatterning and the need of EDA for that specific purpose.

Semicondocutor equiment manufacturers in the etch and deposition arena can take a hit in case of adoption. The demand for the deposition and Etch machinery of Applied Material (AMAT, Financial) and Lam Research (LRCX, Financial) can go down.

From EUV's adoption perspective, it all boils down to cost. Several combinations will be at play including optical immersion lithography with patterning and ILT, which can also be deployed with EUV. According to a Synopsys’ executive:

“EUV will only be utilized on layers with the best cost savings, such as the quadruple-pattern layer down to the double-pattern layer. But the other layers would utilize ArF scanners, where ILT could reduce a double-pattern layer down to a single-pattern layer. This layer reduction is a common ILT use model today.”

All in all, different technologies are expected to stay in the mix of semiconductor manufacturing. It’s not like EUV is going to wipe off optical lithography, or vice versa.

Final thoughts

The industry has turned more bullish on EUV, primarily because of the recent power source breakthrough from ASML Holding (ASML, Financial). Most of the players in the industry now consider it a real option for semiconductor manufacturing at and beyond the 7nm node. Mass adoption is delayed, though, as there are several challenges including prohibitive patterning costs and mask complexities.

Nonetheless, the possibility of wide spread EUV adoption is quite real now, but the technology will go along other technologies in the manufacturing arena amid availability of multibeam mask tools enabling ILT.

Disclosure: I have no positions in any stocks mentioned and no plans to initiate any positions within the next 72 hours.