Chailease Holding Co Ltd (TPE:5871)
NT$ 160.5 -1 (-0.62%) Market Cap: 259.14 Bil Enterprise Value: 858.46 Bil PE Ratio: 11.27 PB Ratio: 1.64 GF Score: 53/100

Q4 2018 Chailease Holding Co Ltd Earnings Call Transcript

Mar 19, 2019 / 09:00AM GMT
Release Date Price: NT$97.96 (-2.07%)
Operator

Welcome to the Chailease Fourth Quarter 2018 Earnings Release Conference Call.

(Operator Instructions) As a reminder, this conference is being recorded. For your information, a webcast replay will be available within an hour after the conference call is finished.

Now I would like to turn the call over to [Kimberly Liang], Assistant Manager of Investor Relations.

Please go ahead.

Unidentified Company Representative

Hello, everyone. Thank you for joining us today for our Full Year 2018 Result Conference Call.

I'm joined by Ms. Sharon Fan, Head of IR department, and she will be open to your questions after my presentation.

The presentation I am giving today will be available for download on our official website at www.chaileaseholding.com.tw. And as a reminder, please refer to the disclaimer in Slide 2 regarding forward-looking statements. Our actual result may differ from such statements.

Now let's begin the presentation by turning to

Already have an account? Log in
Get the full story
Access to All Earning Calls and Stock Analysis
30-Year Financial on one screen
All-in-one Stock Screener with unlimited filters
Customizable Stock Dashboard
Real Time Insider Trading Transactions
8,000+ Institutional investors’ 13F holdings
Powerful Excel Add-in and Google sheets Add-on
All data downloadable
Quick customer support
And much more...
30-Day 100% money back guarantee
You are not charged until the trial ends. Subscription fee may be tax deductible.
Excellent
4.6 out of 5 Trustpilot