Chailease Holding Co Ltd (TPE:5871)
NT$ 146 1 (0.69%) Market Cap: 240.83 Bil Enterprise Value: 837.94 Bil PE Ratio: 10.30 PB Ratio: 1.53 GF Score: 56/100

Q1 2019 Chailease Holding Company Ltd Earnings Call Transcript

May 09, 2019 / 09:00AM GMT
Release Date Price: NT$105.23 (-2.63%)
Operator

Welcome to this Chailease First Quarter 2019 Earnings Release Conference Call. (Operator Instructions) As a reminder, this conference is being record. For your information, a webcast replay will be available within 1 hour after the conference is finished.

I now like to turn over the call to Ms. [Kimberly Lian], Assistant Manager of Investor Relations. Please go ahead.

Unidentified Company Representative

Hello, everyone. Thank you for joining us today for our first quarter 2019 results conference call. On the call this afternoon, I'm joined by Mrs. Sharon Fan, Head of IR Department, and she will be open to your question after my presentation -- on our official website at www.chaileaseholding.com.tw. As a reminder, please refer to the disclaimer on Page 2 regarding forward-looking statement. Our actual result may differ from such statement.

Let's begin the presentation. Please turn to Slide 3 for today's agenda. The agenda we are going to cover for today including management

Already have an account? Log in
Get the full story
Access to All Earning Calls and Stock Analysis
30-Year Financial on one screen
All-in-one Stock Screener with unlimited filters
Customizable Stock Dashboard
Real Time Insider Trading Transactions
8,000+ Institutional investors’ 13F holdings
Powerful Excel Add-in and Google sheets Add-on
All data downloadable
Quick customer support
And much more...
30-Day 100% money back guarantee
You are not charged until the trial ends. Subscription fee may be tax deductible.
Excellent
4.6 out of 5 Trustpilot