Chailease Holding Co Ltd (TPE:5871)
NT$ 163 2.5 (1.56%) Market Cap: 263.18 Bil Enterprise Value: 862.50 Bil PE Ratio: 11.45 PB Ratio: 1.67 GF Score: 53/100

Q4 2020 Chailease Holding Company Ltd Earnings Call Transcript

Mar 25, 2021 / 09:30AM GMT
Release Date Price: NT$163.62 (-0.27%)
Operator

Welcome to Chailease Fourth Quarter 2020 Earnings Release Conference Call. (Operator Instructions) Following the management's prepared remarks, there will be a question-and-answer session. Please follow the instructions given at that time if you would like to ask questions. As a reminder, this conference is being recorded. For your information, a webcast replay will be available within an hour after the conference ends.

And now, I would like to turn the call over to Kimberly Lian, Project Manager of Investor Relations. Kimberly, please proceed.

Kimberly Lian
Chailease Holding Company Limited - Project Manager

Thank you. Hello, everyone. Thank you for joining us today for our full year 2020 results conference call. I'm joined by Ms. Sharon Fan, Head of IR Department, and we will open to your questions after my presentation. The presentation I'm giving today will be available for download on our official website at www.chaileaseholding.com.tw. And as a reminder, please refer to

Already have an account? Log in
Get the full story
Access to All Earning Calls and Stock Analysis
30-Year Financial on one screen
All-in-one Stock Screener with unlimited filters
Customizable Stock Dashboard
Real Time Insider Trading Transactions
8,000+ Institutional investors’ 13F holdings
Powerful Excel Add-in and Google sheets Add-on
All data downloadable
Quick customer support
And much more...
30-Day 100% money back guarantee
You are not charged until the trial ends. Subscription fee may be tax deductible.
Excellent
4.6 out of 5 Trustpilot